Search

Search results

37 results found

Boron and high-k dielectrics: Possible fourth etch stop colors for multipattern optical lithography processing

Dhungana, Shailesh, Thuong D Nguyen, Bradley J Nordell, Anthony N Caruso, Michelle M Paquette, Georges Chollon, William A Lanford, Kris Scharfenberger, Danya Jacob, and Sean W King. 2017. “Boron and high-k dielectrics: Possible fourth etch stop colors for multipattern optical lithography processing”. Journal of Vacuum Science & Technology A 35 (2): 021510.

Investigation and review of the thermal, mechanical, electrical, optical, and structural properties of atomic layer deposited high-k dielectrics: Beryllium oxide, aluminum oxide, hafnium oxide, and aluminum nitride

Gaskins, John T, Patrick E Hopkins, Devin R Merrill, Sage R Bauers, Erik Hadland, David C Johnson, Donghyi Koh, et al. 2017. “Investigation and review of the thermal, mechanical, electrical, optical, and structural properties of atomic layer deposited high-k dielectrics: Beryllium oxide, aluminum oxide, hafnium oxide, and aluminum nitride”. ECS Journal of Solid State Science and Technology 6 (10): N189-N208.